Xilinx全局时钟的使用和DCM模块的使用

在 xilinx 系列 fpga 产品中,全局时钟网络是一种全局布线资源,它可以保证时钟信号到达各个目标逻辑单元的时延基本相同。其时钟分配树结构如图1所示。
图1.xilinx fpga全局时钟分配树结构
针对不同类型的器件,xilinx公司提供的全局时钟网络在数量、性能等方面略有区别,下面以virtex-4系列芯片为例,简单介绍fpga全局时钟网络结构。
virtex- 4系列fpga利用1.2v、90nm三栅极氧化层技术制造而成,与前一代器件相比,具备灵活的时钟解决方案,多达80个独立时钟与20个数字时钟管理器,差分全局时钟控制技术将歪斜与抖动降至最低。以全铜工艺实现的全局时钟网络,加上专用时钟缓冲与驱动结构,从而可使全局时钟到达芯片内部所有的逻辑可配置单元,且i/o单元以及块ram的时延和抖动最小,可满足高速同步电路对时钟触发沿的苛刻需求。
在fpga设计中,fpga全局时钟路径需要专用的时钟缓冲和驱动,具有最小偏移和最大扇出能力,因此最好的时钟方案是由专用的全局时钟输入引脚驱动的单个主时钟,去钟控设计项目中的每一个触发器。只要可能就应尽量在设计项目中采用全局时钟,因为对于一个设计项目来说,全局时钟是最简单和最可预测的时钟。
在软件代码中,可通过调用原语 ibufgp来使用全局时钟。ibufgp的基本用法是:
ibufgp u1(.i(clk_in), .o(clk_out));
全局时钟网络对fpga设计性能的影响很大,所以本书在第11章还会更深入、更全面地介绍全局时钟网络以及相关使用方法。
dcm模块的使用 1.dcm模块的组成和功能介绍 数字时钟管理模块(digital clock manager,dcm)是基于xilinx的其他系列器件所采用的数字延迟锁相环(dll,delay locked loop)模块。在时钟的管理与控制方面,dcm与dll相比,功能更强大,使用更灵活。dcm的功能包括消除时钟的延时、频率的合成、时钟相位的调整等系统方面的需求。dcm的主要优点在于:①实现零时钟偏移(skew),消除时钟分配延迟,并实现时钟闭环控制;②时钟可以映射到pcb上用于同步外部芯片,这样就减少了对外部芯片的要求,将芯片内外的时钟控制一体化,以利于系统设计。对于dcm模块来说,其关键参数为输入时钟频率范围、输出时钟频率范围、输入/输出时钟允许抖动范围等。
dcm共由四部分组成,如图2所示。其中最底层仍采用成熟的dll模块;其次分别为数字频率合成器(dfs,digital frequency synthesizer)、数字移相器(dps,digital phase shifter)和数字频谱扩展器(dss,digital spread spectrum)。不同的芯片模块的dcm输入频率范围是不同的,例如:
图2 dcm功能块和相应的信号
1)dll模块
dll 主要由一个延时线和控制逻辑组成。延时线对时钟输入端clkin产生一个延时,时钟分布网线将该时钟分配到器件内的各个寄存器和时钟反馈端clkfb;控制逻辑在反馈时钟到达时采样输入时钟以调整二者之间的偏差,实现输入和输出的零延时,如图3所示。具体工作原理是:控制逻辑在比较输入时钟和反馈时钟的偏差后,调整延时线参数,在输入时钟后不停地插入延时,直到输入时钟和反馈时钟的上升沿同步,锁定环路进入“锁定”状态,只要输入时钟不发生变化,输入时钟和反馈时钟就保持同步。dll可以被用来实现一些电路以完善和简化系统级设计,如提供零传播延迟,低时钟相位差和高级时钟区域控制等。
图3 dll简单模型示意图
在xilinx芯片中,典型的dll标准原型如图4所示,其管脚分别说明如下:
图4 xilinx dll的典型模型示意图
clkin(源时钟输入):dll输入时钟信号,通常来自ibufg或bufg。
clkfb(反馈时钟输入):dll时钟反馈信号,该反馈信号必须源自clk0或clk2x,并通过ibufg或bufg相连。
rst(复位):控制dll的初始化,通常接地。
clk0(同频信号输出):与clkin无相位偏移;clk90与clkin 有90度相位偏移;clk180与clkin 有180度相位偏移;clk270与cl kin有270度相位偏移。
clkdv(分频输出):dll输出时钟信号,是clkin的分频时钟信号。dll支持的分频系数为1.5,2,2.5,3,4,5,8 和16。
clk2x(两倍信号输出):clkin的2倍频时钟信号。
locked(输出锁存):为了完成锁存,dll可能要检测上千个时钟周期。当dll完成锁存之后,locked有效。
在fpga 设计中,消除时钟的传输延迟,实现高扇出最简单的方法就是用dll,把clk0 与clkfb相连即可。利用一个dll可以实现2倍频输出,如图5所示。利用两个dll 就可以实现4倍频输出,如图6所示。
图5 xilinx dll 2倍频典型模型示意图
图6 xilinx dll 4倍频典型模型示意图
2)数字频率合成器
dfs 可以为系统产生丰富的频率合成时钟信号,输出信号为clkfb和clkfx180,可提供输入时钟频率分数倍或整数倍的时钟输出频率方案,输出频率范围为 1.5~320 mhz(不同芯片的输出频率范围是不同的)。这些频率基于用户自定义的两个整数比值,一个是乘因子(clkfx_ multiply),另外一个是除因子(clkfx_ divide),输入频率和输出频率之间的关系为:
比如取clkfx_multiply = 3,clkfx_divide = 1,pcb上源时钟为100 mhz,通过dcm 3倍频后,就能驱动时钟频率在300 mhz的fpga,从而减少了板上的时钟路径,简化板子的设计,提供更好的信号完整性。
3) 数字移相器
dcm 具有移动时钟信号相位的能力,因此能够调整i/o信号的建立和保持时间,能支持对其输出时钟进行0度、90度、180度、270度的相移粗调和相移细调。其中,相移细调对相位的控制可以达到1%输入时钟周期的精度(或者50 ps),并且具有补偿电压和温度漂移的动态相位调节能力。对dcm输出时钟的相位调整需要通过属性控制phase_shift来设置。ps设置范围为 -255到 255,比如输入时钟为200 mhz,需要将输出时钟调整 0.9 ns的话,ps =(0.9ns/ 5ns)?56 = 46。如果phase_ shift值是一个负数,则表示时钟输出应该相对于clkin向后进行相位移动;如果phase_shift是一个正值,则表示时钟输出应该相对于 clkin向前进行相位移动。
移相用法的原理图与倍频用法的原理图很类似,只用把clk2x输出端的输出缓存移到clk90、clk180或者clk270端即可。利用原时钟和移相时钟与计数器相配合也可以产生相应的倍频。
4) 数字频谱合成器
xilinx 公司第一个提出利用创新的扩频时钟技术来减少电磁干扰(emi)噪声辐射的可编程解决方案。最先在fpga中实现电磁兼容的emicontrol技术,是利用数字扩频技术(dss)通过扩展输出时钟频率的频谱来降低电磁干扰,减少用户在电磁屏蔽上的投资。数字扩频(dss)技术通过展宽输出时钟的频谱,来减少emi和达到fcc要求。这一特点使设计者可极大地降低系统成本,使电路板重新设计的可能性降到最小,并不再需要昂贵的屏蔽,从而缩短了设计周期。
2.dcm模块ip core的使用 例:在ise中调用dcm模块,完成50mhz时钟信号到75mhz时钟信号的转换。
1)在源文件进程中,双击“create new source”;然后在源文件窗口,选择“ip (coregen & architecture wizard)”,输入文件名“my_dcm”;再点击“next”,在选择类型窗口中,“fpga features and design –
图7 新建dcm模块ip core向导示意图
点击“next”,“finish”进入xilinx 时钟向导的建立窗口,如图8所示。ise默认选中clk0和 locked这两个信号,用户根据自己需求添加输出时钟。在“input clock frequency”输入栏中敲入输入时钟的频率或周期,单位分别是mhz和ns,其余配置保留默认值。为了演示,这里添加了clkfx 信号,并设定输入时钟为单端信号,频率为50mhz,其余选项保持默认值。
图8 dcm模块配置向导界面
点击“next”,进入时钟缓存窗口,如图9所示。默认配置为dcm输出添加全局时钟缓存以保证良好的时钟特性。如果设计全局时钟资源,用户亦可选择“customize buffers”自行编辑输出缓存。一般选择默认配置即可。
图9 dcm模块时钟缓存配置向导界面
点击“next”,进入时钟频率配置窗口,如图10所示。键入输出频率的数值,或者将手动计算的分频比输入。最后点击 “next”,“finish”即可完成dcm模块ip core的全部配置。本例直接键入输出频率为75mhz即可。
图10 指定 dcm 模块的输出频率
经过上述步骤,即可在源文件进程中看到“my_dcm.xaw”文件。剩余的工作就是在设计中调用该dcm ip core,其例化代码如下:
module dcm_top(
clkin_in,
rst_in,
clkfx_out,
clkin_ibufg_out,
clk0_out,
locked_out);
input clkin_in;
input rst_in;
output clkfx_out;
output clkin_ibufg_out;
output clk0_out;
output locked_out;
mydcm dcm1(
.clkin_in(clkin_in),
.rst_in(rst_in),
.clkfx_out(clkfx_out),
.clkin_ibufg_out(clkin_ibufg_out),
.clk0_out(clk0_out),
.locked_out(locked_out)
);
endmodule
上述代码经过综合synplify pro综合后,得到的rtl级结构图如图11所示。
图11 dcm模块的rtl结构示意图
上述代码经过modelsim仿真后,其局部仿真结果如图12所示。从中可以看出,当locked_out信号变高时,dcm模块稳定工作,输出时钟频率clkfx_out为输入时钟clk_in频率的1.5倍,完成了预定功能。需要注意的是,复位信号rst_in是高有效。
图12 dcm的仿真结果示意图
在实际中,如果在一片fpga内使用两个dcm,那么时钟从一个clk输入,再引到两个dcm的clk_in。这里,在dcm模块操作时,需要注意两点:首先,用coregen生成dcm模块的时候,clk_in源是内部的,不能直接连接到管脚,需要添加缓冲器;其次,手动例化一个ibufg,然后把 ibufg的输入连接到两个dcm的clk_in。通常,如果没有设置clk_in 源为内部的,而是完全按照单个dcm的使用流程,就会造成clk_in信号有多个驱动。此时,ise不能做到两个dcm模块输出信号的相位对齐,只能做到一个dcm的输出是相位对齐的。而时钟管脚到两个dcm的路径和dcm输出的路径都有不同的延时,因此如果用户对相位还有要求,就需要自己手动调整dcm 模块在芯片中的位置。

上研院联合华为发布5G定位能力开放产业白皮书
日盈电子拟不超1.64亿元收购惠昌传感器90%股权
Oculus Quest 2发布,将为消费者提供目前最先进、最具沉浸感的VR游戏体验
可穿戴等智能设备出路在哪?做物联网入口
【CDD】诊断数据库创建速成班-课堂(二)
Xilinx全局时钟的使用和DCM模块的使用
位移传感器原理及基础知识
pcb设计覆铜方面需要注意哪些问题
基于区块链技术的软件项目ECOS将解决全球食品饮料行业的伪劣问题
宝马用固态激光雷达传感器和计算机视觉技术推出无人驾驶
拥有3D组件主体的PCB设计
自控仪表从功能角度可分为哪些
听说小米6和小米平板3齐发才会更配哟!
明纬电源——178.5~240W恒流型LED驱动器(ELG-240-C系列)
科学家新发现:碳纳米管产生大电流(新型发电方式)
t6963c驱动程序-驱动代码
LED显示屏的日常如何去保养
华为上线Eyewear II智能眼镜,支持无线充电和播放音乐
分布式光伏站远程监控组网方案
巧用差分探头,轻松测量差分信号纹波
s